aboutsummaryrefslogtreecommitdiff
path: root/include/linux/clk.h
AgeCommit message (Expand)AuthorFilesLines
2020-01-07clk: clarify that clk_set_rate() does updates from top to bottomGravatar Martin Blumenstingl 1-0/+3
2019-09-20Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Gravatar Linus Torvalds 1-7/+10
2019-08-07clk: Constify struct clk_bulk_data * where possibleGravatar Andrey Smirnov 1-7/+10
2019-07-22clk: Add missing documentation of devm_clk_bulk_get_optional() argumentGravatar Sylwester Nawrocki 1-0/+1
2019-07-17Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Gravatar Linus Torvalds 1-0/+47
2019-06-25clk: Add devm_clk_bulk_get_optional() functionGravatar Sylwester Nawrocki 1-0/+28
2019-06-25clk: Add clk_bulk_get_optional() functionGravatar Sylwester Nawrocki 1-0/+19
2019-06-19treewide: Replace GPLv2 boilerplate/reference with SPDX - rule 500Gravatar Thomas Gleixner 1-4/+1
2019-04-25clk: Add missing stubs for a few functionsGravatar Dmitry Osipenko 1-0/+16
2019-02-06clk: Add (devm_)clk_get_optional() functionsGravatar Phil Edworthy 1-0/+36
2018-10-18Merge branches 'clk-tegra' and 'clk-bulk-get-all' into clk-nextGravatar Stephen Boyd 1-1/+64
2018-10-16clk: add managed version of clk_bulk_get_allGravatar Dong Aisheng 1-0/+23
2018-10-16clk: add new APIs to operate on all available clocksGravatar Dong Aisheng 1-1/+41
2018-10-03clk: Add functions to save/restore clock context en-masseGravatar Russ Dill 1-0/+25
2018-06-19clk: add duty cycle supportGravatar Jerome Brunet 1-0/+33
2018-03-16clk: add more __must_check for bulk APIsGravatar Dong Aisheng 1-8/+8
2017-12-19clk: add clk_rate_exclusive apiGravatar Jerome Brunet 1-0/+62
2017-07-14Merge tag 'clk-bulk-get-prep-enable' of git://git.kernel.org/pub/scm/linux/ke...Gravatar Linus Torvalds 1-0/+22
2017-07-12clk: Provide bulk prepare_enable disable_unprepare variantsGravatar Bjorn Andersson 1-0/+22
2017-06-02Merge branch 'clk-bulk-get' into clk-nextGravatar Stephen Boyd 1-0/+132
2017-06-02clk: add managed version of clk_bulk_getGravatar Dong Aisheng 1-0/+21
2017-06-02clk: add clk_bulk_get accessoriesGravatar Dong Aisheng 1-0/+111
2017-06-02clk: Provide dummy of_clk_get_from_provider() for compile-testingGravatar Geert Uytterhoeven 1-0/+4
2017-04-02kernel-api.rst: fix a series of errors when parsing C filesGravatar mchehab@s-opensource.com 1-2/+2
2016-12-08clk: add devm_get_clk_from_child() APIGravatar Kuninori Morimoto 1-4/+25
2016-07-30Merge tag 'clk-for-linus-4.8' of git://git.kernel.org/pub/scm/linux/kernel/gi...Gravatar Linus Torvalds 1-2/+14
2016-06-28clk: Provide notifier stubs when !COMMON_CLKGravatar Krzysztof Kozlowski 1-2/+14
2016-06-28clk: Add missing clk_get_sys() stubGravatar Daniel Lezcano 1-0/+4
2015-05-06clkdev: get rid of redundant clk_add_alias() prototype in linux/clk.hGravatar Russell King 1-13/+0
2015-05-06clk: update clk API documentation to clarify clk_round_rate()Gravatar Russell King 1-0/+14
2015-03-11clk: introduce clk_is_matchGravatar Michael Turquette 1-0/+18
2015-02-02clk: Add rate constraints to clocksGravatar Tomeu Vizoso 1-0/+28
2015-01-24clk: Introduce clk_has_parent()Gravatar Thierry Reding 1-0/+17
2014-10-15Merge tag 'clk-for-linus-3.18' of git://git.linaro.org/people/mike.turquette/...Gravatar Linus Torvalds 1-0/+29
2014-09-27clk: introduce clk_set_phase function & callbackGravatar Mike Turquette 1-0/+29
2014-09-09Documentation: Docbook: Fix generated DocBook/kernel-api.xmlGravatar Masanari Iida 1-1/+1
2014-02-24clk: add pr_debug & kerneldoc around clk notifiersGravatar Mike Turquette 1-0/+14
2013-12-22clk: add clk accuracy retrieval supportGravatar Boris BREZILLON 1-0/+17
2013-04-10clk: Properly handle notifier return valuesGravatar Soren Brinkmann 1-4/+4
2012-07-30clk: add non CONFIG_HAVE_CLK routinesGravatar Viresh Kumar 1-59/+109
2012-07-24Merge tag 'clk-for-linus' of git://git.linaro.org/people/mturquette/linuxGravatar Linus Torvalds 1-0/+20
2012-07-24Fix typo in include/linux/clk.h .Gravatar Jan-Simon Möller 1-2/+2
2012-07-19clk: fix compile for OF && !COMMON_CLKGravatar Rob Herring 1-1/+1
2012-07-19clk: fix clk_get on of_clk_get_by_name return checkGravatar Shawn Guo 1-2/+3
2012-07-11clk: add DT clock binding supportGravatar Grant Likely 1-0/+19
2012-05-11Merge branch 'depends/rmk/clkdev' into next/clockGravatar Arnd Bergmann 1-0/+32
2012-04-24clk: remove trailing whitespace from clk.hGravatar Rob Herring 1-2/+2
2012-04-24clk: Remove comment for end of CONFIG_COMMON_CLK sectionGravatar Mark Brown 1-1/+1
2012-04-19ARM: 7376/1: clkdev: Implement managed clk_get()Gravatar Mark Brown 1-0/+32
2012-03-16clk: introduce the common clock frameworkGravatar Mike Turquette 1-5/+63